VHDL 코딩 도와주세요

sz0724의 이미지

아래는 코드이고
발생한 오류는
Error: Node instance "LCD_DISP" instantiates undefined entity "lcd_display"
이거에요.
어느 부분을 바꿔야 할까요???
도와주세요ㅜㅜ

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;

entity Mario is
port( LED : in std_logic_vector(15 downto 0); --LED
stage1 : in std_logic_vector ( 7 downto 0);
CLK: in std_logic;
START : in std_logic_vector( 7 downto 0);
RESET : in std_logic_vector( 7 downto 0);
JUMP : in std_logic_vector( 7 downto 0);
e : out std_logic;
rs : out std_logic;
rw : out std_logic;
data : out std_logic_Vector(7 downto 0));
end Mario;

architecture Behavioral of Mario is
component lcd_display is
port(clr_trig : in std_logic;
CLK : in std_logic;
char_trig : in std_logic;
char_in : in std_logic_vector(7 downto 0);
addr_trig : in std_logic;
addr_in : in std_logic_vector(6 downto 0);
lcd_rdy : out std_logic;
lcd_rs :out std_logic;
lcd_e : out std_logic;
lcd_d : out std_logic_vector(7 downto 0));
end component;

signal lcd_rdy_temp : std_logic:='0';
signal lcd_clear : std_logic:='0';
signal data_in : std_logic:='0';
signal address_in : std_logic:='0';
signal lcd_data : std_logic_vector(7 downto 0):=(others=>'0');
signal address : std_logic_vector(6 downto 0):=(others=>'0');

begin
rw <= '0';
lcd_disp : lcd_display port map(
clk => clk,
clr_trig => lcd_clear,
char_trig => data_in,
char_in => lcd_data,
addr_trig => address_in,
addr_in => address,
lcd_rdy => lcd_rdy_temp,
lcd_rs => rs,
lcd_e => e,
lcd_d => data);


process(CLK) ----main
variable char : integer range 0 to 14 :=0;
begin
if(CLK'event and CLK='1') then
if(lcd_rdy_temp ='1' and data_in ='0' and address_in='0') then
if (char <34) then
char := char+1;
end if;

if stage1= "00000001" then
if START= "00000001" then
if(clk='1') then

case char is
when 1 => lcd_data <= "01101100" ; data_in <='1' ; -- I
when 2 => lcd_data <= "10000000" ; data_in <='1' ;
when 3 => lcd_data <= "01000001" ; data_in <='1' ; -- A
when 4 => lcd_data <= "00110100" ; data_in <='1' ; -- M
when 5 => lcd_data <= "10000000" ; data_in <='1' ;
when 6 => lcd_data <= "01101100" ; data_in <='1' ; -- I
when 7 => lcd_data <= "01010010" ; data_in <='1' ; -- R
when 8 => lcd_data <= "01001111" ; data_in <='1' ; -- O
when 9 => lcd_data <= "01001110" ; data_in <='1' ; -- N
when 10 => lcd_data <= "10000000" ; data_in <='1' ;
when 11 => lcd_data <= "00110100" ; data_in <='1' ; -- M
when 12 => lcd_data <= "01000001"; data_in <='1' ; -- A
when 13 => lcd_data <= "01001110"; data_in <='1' ; -- N
when others => data_in <= '0'; address_in <= '0';
end case;

else
data_in <='0'; address_in <='0';
end if;

end if;
end if;
end if;
end if;


end process;
end Behavioral;

김정균의 이미지

역질문이 하나 있습니다.

글을 올리신 환경에서, 글 입력 시에 textarea(본문 입력 창)에 code tag 사용 여부에 대한 글이 보이지를 않는지 문의 드립니다. 로그를 확인해 보니, 해당 환경에서 지원을 해야 할 것 같은데.. 그냥 자세히 보지 않고 글을 입력 하신 것인지 아니면 보이지를 않아서 code tag를 사용하지 않은 것인지 궁금하네요.

전자라면 더 효율적인 방법을 강구해 봐야 할 듯 싶어서 문의 드립니다.

raymundo의 이미지

일단 안내문 첫 줄에 "글 올리기 전에 이 안내문을 꼭 읽어보세요"를 추가하고, 색상도 빨간색으로 하면 어떨까 싶습니다.

좋은 하루 되세요!

댓글 달기

Filtered HTML

  • 텍스트에 BBCode 태그를 사용할 수 있습니다. URL은 자동으로 링크 됩니다.
  • 사용할 수 있는 HTML 태그: <p><div><span><br><a><em><strong><del><ins><b><i><u><s><pre><code><cite><blockquote><ul><ol><li><dl><dt><dd><table><tr><td><th><thead><tbody><h1><h2><h3><h4><h5><h6><img><embed><object><param><hr>
  • 다음 태그를 이용하여 소스 코드 구문 강조를 할 수 있습니다: <code>, <blockcode>, <apache>, <applescript>, <autoconf>, <awk>, <bash>, <c>, <cpp>, <css>, <diff>, <drupal5>, <drupal6>, <gdb>, <html>, <html5>, <java>, <javascript>, <ldif>, <lua>, <make>, <mysql>, <perl>, <perl6>, <php>, <pgsql>, <proftpd>, <python>, <reg>, <spec>, <ruby>. 지원하는 태그 형식: <foo>, [foo].
  • web 주소와/이메일 주소를 클릭할 수 있는 링크로 자동으로 바꿉니다.

BBCode

  • 텍스트에 BBCode 태그를 사용할 수 있습니다. URL은 자동으로 링크 됩니다.
  • 다음 태그를 이용하여 소스 코드 구문 강조를 할 수 있습니다: <code>, <blockcode>, <apache>, <applescript>, <autoconf>, <awk>, <bash>, <c>, <cpp>, <css>, <diff>, <drupal5>, <drupal6>, <gdb>, <html>, <html5>, <java>, <javascript>, <ldif>, <lua>, <make>, <mysql>, <perl>, <perl6>, <php>, <pgsql>, <proftpd>, <python>, <reg>, <spec>, <ruby>. 지원하는 태그 형식: <foo>, [foo].
  • 사용할 수 있는 HTML 태그: <p><div><span><br><a><em><strong><del><ins><b><i><u><s><pre><code><cite><blockquote><ul><ol><li><dl><dt><dd><table><tr><td><th><thead><tbody><h1><h2><h3><h4><h5><h6><img><embed><object><param>
  • web 주소와/이메일 주소를 클릭할 수 있는 링크로 자동으로 바꿉니다.

Textile

  • 다음 태그를 이용하여 소스 코드 구문 강조를 할 수 있습니다: <code>, <blockcode>, <apache>, <applescript>, <autoconf>, <awk>, <bash>, <c>, <cpp>, <css>, <diff>, <drupal5>, <drupal6>, <gdb>, <html>, <html5>, <java>, <javascript>, <ldif>, <lua>, <make>, <mysql>, <perl>, <perl6>, <php>, <pgsql>, <proftpd>, <python>, <reg>, <spec>, <ruby>. 지원하는 태그 형식: <foo>, [foo].
  • You can use Textile markup to format text.
  • 사용할 수 있는 HTML 태그: <p><div><span><br><a><em><strong><del><ins><b><i><u><s><pre><code><cite><blockquote><ul><ol><li><dl><dt><dd><table><tr><td><th><thead><tbody><h1><h2><h3><h4><h5><h6><img><embed><object><param><hr>

Markdown

  • 다음 태그를 이용하여 소스 코드 구문 강조를 할 수 있습니다: <code>, <blockcode>, <apache>, <applescript>, <autoconf>, <awk>, <bash>, <c>, <cpp>, <css>, <diff>, <drupal5>, <drupal6>, <gdb>, <html>, <html5>, <java>, <javascript>, <ldif>, <lua>, <make>, <mysql>, <perl>, <perl6>, <php>, <pgsql>, <proftpd>, <python>, <reg>, <spec>, <ruby>. 지원하는 태그 형식: <foo>, [foo].
  • Quick Tips:
    • Two or more spaces at a line's end = Line break
    • Double returns = Paragraph
    • *Single asterisks* or _single underscores_ = Emphasis
    • **Double** or __double__ = Strong
    • This is [a link](http://the.link.example.com "The optional title text")
    For complete details on the Markdown syntax, see the Markdown documentation and Markdown Extra documentation for tables, footnotes, and more.
  • web 주소와/이메일 주소를 클릭할 수 있는 링크로 자동으로 바꿉니다.
  • 사용할 수 있는 HTML 태그: <p><div><span><br><a><em><strong><del><ins><b><i><u><s><pre><code><cite><blockquote><ul><ol><li><dl><dt><dd><table><tr><td><th><thead><tbody><h1><h2><h3><h4><h5><h6><img><embed><object><param><hr>

Plain text

  • HTML 태그를 사용할 수 없습니다.
  • web 주소와/이메일 주소를 클릭할 수 있는 링크로 자동으로 바꿉니다.
  • 줄과 단락은 자동으로 분리됩니다.
댓글 첨부 파일
이 댓글에 이미지나 파일을 업로드 합니다.
파일 크기는 8 MB보다 작아야 합니다.
허용할 파일 형식: txt pdf doc xls gif jpg jpeg mp3 png rar zip.
CAPTCHA
이것은 자동으로 스팸을 올리는 것을 막기 위해서 제공됩니다.